http://www.mentor.com/dsm/
Altium
hp
Click here for EDAToolsCafe Click here for EDAToolsCafe Click here for Internet Business Systems Click here for Hewlett Packard Click here for EDAToolsCafe
Search:
  Home | EDAVision | Companies | Downloads | Interviews | Forums | News | Resources |  ItZnewz  | |   | PCBCafe
  Check Mail | Submit Material | Universities | Books & Courses | Events | Membership | Fun Stuff | Advertise |
 Browse eCatalog:  Subscribe to EDA Daily News
eCatalogAsic & ICPCBFPGADesign ServicesHardwareSIP
Email: 
 EDAToolsCafe 

Printer Friendly Version

Nassda Announces Full-Chip Verification Tool With Dynamic Power-Network Simulation

New Tool Addresses Critical Problem Emerging in Nanometer Semiconductor Designs

SANTA CLARA, Calif.--(BUSINESS WIRE)--May 6, 2002--Nassda Corporation (Nasdaq:NSDA - news) today announced the introduction of LEXSIM(TM), a full-chip circuit-level simulator designed for post-layout verification of large integrated circuits (ICs) and their associated power networks. LEXSIM is the first EDA tool able to simulate the nanometer effects of both the power network and signal interconnects for complex ICs with millions of transistors. By enabling semiconductor designers to identify and correct nanometer design problems during verification, LEXSIM can help create chips that work successfully at first silicon. Early silicon success provides a significant competitive advantage to semiconductor companies by reducing time to market and permitting a faster ramp to volume production.

"As leading IC manufacturers move to advanced nanometer technologies, they find that traditional simulation tools cannot uncover complex nanometer effects such as dynamic voltage (IR) drop in the power network," said Simon Young, Product Line Manager at Nassda. "With its efficient annotation of post-layout parasitics, we believe that LEXSIM provides the speed, capacity and accuracy needed for complete full-chip transistor-level circuit simulation including power-nets, and that it enables designers to find and correct critical nanometer design problems prior to manufacturing."

Matsushita Electric Industrial Co., Ltd., maker of Panasonic products, has added LEXSIM to their memory design flow. "Being able to more accurately predict the behavior of our large embedded memory designs requires the inclusion of the effect of IR drops in the power networks," said Hiroyuki Tsujikawa, Manager, Matsushita"s EDA Technology Development Group. "With smaller nanometer geometries and finer metallization, in combination with the higher currents at lower Vdds, we see the need for detailed power-net analysis to prevent possible dynamic IR drop caused design failures. LEXSIM has demonstrated the ability to simulate our full-chip at the post-layout stage including both signal-net and power-net parasitics. We expect to see reduced design turns and faster time-to-volume by using LEXSIM."

IR drop is a supply voltage reduction across a large IC as current flows through its power grid. Reduced voltage supply to internal transistor circuitry causes increased signal delay and sensitivity to noise, which can drastically affect circuit behavior. In older manufacturing technologies, with supply voltages above 3 volts, IR drop had a negligible effect on circuit performance. However, with the emergence of nanometer technologies of 130 nm and below, a smaller supply voltage in the range of 1 to 1.5 volts is used and designs are more sensitive to voltage variations. Reduced voltage supply in combination with a persistent device threshold level, results in diminished headroom for asserting logic values which can be severely affected by IR drop. Today IR drop can significantly change timing in critical circuit paths and cause complex malfunctions that lead to frequent failure of nanometer ICs.

In the past, companies discovered IR drop problems most often after manufactured silicon failed to function as expected. Indeed, designs can pass verification checks with traditional EDA tools but sometimes fail in actual silicon because dynamic IR drop effects were not addressed. In diagnosing and correcting IR drop, companies have faced significant delays and additional costs associated with re-design efforts and silicon re-spins.

Accurate prediction of dynamic IR drop is possible only with transistor-level circuit simulation of the entire design including both power and ground networks. Earlier transistor-level tools such as SPICE and its "fast SPICE" derivatives lack the performance and capacity to deal with large post-layout netlists which include a huge amount of power network parasitic data. Post-layout netlists can be tens of gigabytes in size due to parasitic resistance and capacitance elements that exceed the capacity limit of traditional tools.

LEXSIM employs sophisticated techniques to reduce power network parasitics to manageable levels with only a slight degradation in the accuracy of analysis. In addition, LEXSIM has a unique capability that incorporates signal interconnect parasitics extracted from the post-layout design stage on to the pre-layout design netlist. LEXSIM is especially efficient at simulating the effects of coupling capacitance on signal nets which include glitch power, noise, and crosstalk delay. This combination of power-net reduction and parasitic annotation enables LEXSIM to provide the highest capacity and speed needed for effective post-layout simulation of large ICs. As a result, LEXSIM is able to perform direct analysis of dynamic IR drop, unlike earlier methods that provide only static analysis or indirect approaches for circuits of limited size. Results from LEXSIM allow designers to observe dynamic IR drop effects on circuit behavior and then to focus on any specific circuit modifications needed to correct IR drop problems. LEXSIM"s ability to predict problems due to IR drop at the post-layout stage helps reduce design turns and get semiconductor products to market faster.

The initial release of LEXSIM is targeted for full-chip post-layout verification of large IC memories and embedded memory intellectual property. Future releases will address system-on-chip (SoC) and large mixed-signal designs.

"Nassda"s unique technologies for power net reduction and parasitic annotation offer critical capabilities which are needed by the IC industry as it moves to nanometer design," said An-Chang Deng, Nassda"s president and chief operation officer. "We believe that LEXSIM"s full-chip dynamic IR drop analysis meets the important verification challenge of the latest nanometer designs and will help designers achieve improved product quality and early silicon success."

Pricing and Availability

LEXSIM is available immediately directly from Nassda. Pricing for time-based licenses starts from $180,000. LEXSIM is supported on Sun Solaris, HP-UX, Windows NT/2000 and Linux platforms.

About Nassda

Nassda Corporation (Nasdaq:NSDA - news) is a fast-growing provider of full-chip circuit verification software for complex nanometer semiconductors. Headquartered in Santa Clara, California, the company develops and markets simulation and analysis solutions for advanced ICs, especially for analog, memory, and mixed-signal SoC designs. Nassda"s products enable first silicon success and improve product quality and production yield for its consumer, communication, computer and memory customers. The company has sales and distribution offices throughout the world. For more information about Nassda, please visit the company"s Web site at http://www.nassda.com.

The specific features, functionality, and release timing of any new products described in this press release remain at the sole discretion of Nassda Corporation and Nassda does not make any warranty as to when or if such specific features, functionality or release may occur.

Note to Editors: Nassda and HSIM are registered trademarks and LEXSIM is a trademark of Nassda Corporation. All other trademarks and registered trademarks are the property of their owners.


Contact:
     Nassda Corporation
     Graham Bell, 408/562-9168
     gbell@nassda.com
         or
     Lee Public Relations
     Barbara Marker, 503/209-2323
     barbara@leepr.com

http://www.mentor.com/dsm/
http://www.mentor.com/embedded/
http://www.mentor.com/pcb/
http://hdlsolutions.mentor.com/
SynaptiCAD


Click here for Internet Business Systems Copyright 2002, Internet Business Systems, Inc.
1-888-44-WEB-44 --- marketing@ibsystems.com